中国にさらなる遅れをもたらす 、半導体製造ツール「Centura Sculpta」(アプライドマテリアルズ社)

米アプライド マテリアルズ、先端ノードチップ製造のコストを大幅に削減するパターン形成の新機軸を発表

Scott Foster
Asia Times
2023年3月10日

米国のエンジニアリング会社アプライド・マテリアルズの新しいパターン形成ツールは、中国が最先端の半導体製造技術に追いつくことがいかに難しいかを示している。

2月28日に発表されたCentura Sculptaは、最先端のプロセスノードで集積回路を製造するために使用されるEUV(極端紫外線)リソグラフィーの工程数を減らし、複雑さとコストの両方を大幅に削減することを可能にするものである。

米国の制裁措置により、中国へのEUVリソグラフィ装置の輸出はすでに禁止されている。EUV露光装置がなければ、7nmや5nmのプロセスは経済的に成り立たず、3nmや2nm、それ以下のプロセスは全く実現できない。

効率化によってEUVの採用が進むと、スマートフォンや人工知能、量子コンピュータなどのハイテク産業向けの次世代チップの開発競争において、中国は大きく取り残される可能性がある。

しかし、これは中国の半導体産業の終わりを意味するものではない。Asia Timesのデービッド・ゴールドマンが指摘するように、「旧世代の28nmチップはHuaweiのデジタルインフラと産業用アプリケーションのほとんどを支えており、中国はそれらを自国生産できる。」

5Gネットワークによって制御される工場と物流こそが、中国の比較優位が今ある場所だと、ゴールドマンは一貫して主張している。

Sculptaは、プラズマビームを使用してシリコンウェハー上のチップ機能の寸法を変更する高度なエッチングツールであり、二重または多重のパターニングの必要性を低減する。

現在のEUVリソグラフィーの解像度限界(13nm)よりも小さいサイズを印刷するためには、回路パターンを2つに分割し、それぞれの半分を解像度限界に適合させ、次に半分を組み合わせてより微細で高密度のパターンを作成する。

このダブルパターンは、LELE(Litho-etch-Litho-etch)工程が必要で、時間がかかり、設備、材料、エネルギーが追加される。この工程を繰り返すのがマルチパターニングである。このようにして、機能サイズは大幅に縮小されましたが、コストは増加の一途をたどっている。

Sculptaは、このようなエスカレーションに代わるものを提供する。アプライド マテリアルズは、「Sculptaは、先端ロジックノードの最も重要なパターニング層を対象としています」と述べている。「最終的なパターンは1枚のマスクから作成されるため、設計コストと複雑さが軽減され、ダブルパターンのアライメントエラーによる歩留まりリスクも排除されます。」

コスト削減の可能性は非常に大きい。アプライド マテリアルズは、SculptaがEUVダブルパターニング・シーケンスを1つ置き換えるごとに、以下のことが可能になるとしている。

  • 月産10万枚の生産能力あたり約2億5千万米ドルの資本コスト削減
  • ウェーハ1枚当たり約50ドルの製造コスト削減
  • エネルギー消費、水の使用、温室効果ガスの排出を大幅に削減

このことを考慮すると、大手チップメーカーのTSMCは、5nmの生産能力を月産15万枚に引き上げたと報告されている。

EUV露光装置は現在、1台1億7000万ドル近くするが、ASMLの次世代高NA(Numerical Aperture)装置は、その2倍のコストがかかるかもしれない。高NAは解像度の限界を8nmまで下げ、マルチパターニングの必要性を減らすが、すぐには無理で、最初のマシンが納入されるのは2024年の予定だ。

その間、リソグラフィーは3nmの製造コストの35%を占めると推定される。現在、EUVリソグラフィはオランダのASML社が独占している。

アプライド マテリアルズは、Sculptaによるパターン形成により、一部の重要な層でEUVリソグラフィの使用を半減できると主張しているが、半導体業界のアナリストは、EUVリソグラフィ装置の総需要を20%近く削減できると推定している。

この試算は、Sculptaがどの程度早く採用されるか、期待に沿うかどうか、コスト削減が半導体製造の生産能力拡大計画にどのような影響を与えるかなどがまだ分かっていないため、大まかなものとなっている。

Sculptaは新製品だが、パターン形成は新しい技術ではないので、成功の可能性は高いと言える。アプライド マテリアルズは数年前からこの技術に関する研究論文を発表しており、市場調査機関は、インテルが2024年か2025年に1.8nmで導入する可能性があると報告している(ただし、もっと早く導入される可能性もある)。サムスンは2番目のユーザー、TSMCは3番目のユーザーになると予想され、業界のオブザーバーによれば、1.4nmでの導入が最も可能性が高いという。

インテルの副社長であるRyan Russellは、「当社のプロセスアーキテクチャに合わせたSculptaの最適化においてアプライドマテリアルズと緊密に協力してきたインテルは、パターン形成機能を導入して、設計・製造コスト、プロセスサイクル時間、環境への影響の低減を実現する予定です」と述べている。

現在TechInsightsで半導体産業動向のアナリストとして高く評価されているDan Hutcheson氏は、「SculptaはCMPの導入以来、ウェハ製造において最も革新的な新しいプロセスステップである」と述べています。

余分な材料を取り除き、滑らかなウェーハ表面を作るために用いられるCMP(化学的機械的平坦化)は、EUVリソグラフィーに加えて、Sculptaの導入により影響を受ける製造工程のいくつかのステップの一つである。

その他にも、フォトマスクの作成と検査、フォトレジストの蒸着と除去、材料の蒸着、エッチング、洗浄などがある。

受注に影響を与える可能性のある企業は、ASML(オランダ)、アプライドマテリアルズ自身とラムリサーチ(米国)、東京エレクトロン、JSR、HOYA、レーザーテック(日本)など多数。エッチ装置メーカーのラムリサーチ社や東京エレクトロン社は、独自のパターンシェーピングの導入に迫られることになる。

アプライドマテリアルズとその顧客だけが恩恵を受けると結論づけたいところだが、必ずしもそうではない。半導体業界は効率化とコスト削減を原動力としており、Sculptaは1nmプロセスノードへの進展を加速させる可能性を秘めている。

パターン形成により、インテルがTSMCやサムスンに追いつき、それによってEUVリソグラフィー市場の成長を加速させることができる。1nmを目指すJSRの金属酸化物フォトレジストメーカーInpriaの買収をより早く、より大きなリターンにつなげることができるだろう。

一方、EUVマスクが減れば、HOYAのマスクブランクスやレーザーテックのマスク検査装置の需要が減少する。

直接影響を受ける企業は、いずれも中国企業ではない。しかし、中国の半導体業界全体は、最先端が動く標的であり、国境を越えて刻まれるものであることを改めて思い知らされることになる。
asiatimes.com